%0 Journal Article %A Venkataramani, Girish %A Chelcea, Tiberiu %A Goldstein, Seth C. %D 1975 %T Heterogeneous Latch-based Asynchronous Pipelines %U https://kilthub.cmu.edu/articles/journal_contribution/Heterogeneous_Latch-based_Asynchronous_Pipelines/6606083 %R 10.1184/R1/6606083.v1 %2 https://kilthub.cmu.edu/ndownloader/files/12096569 %K computer sciences %X We present a technique to automatically synthesize heterogeneous asynchronous pipelines by combining two different latching styles: normally open D-latches for high performance and self-resetting D-latches for low power. Theformer is fast but results in high power consumption due to data glitches that leak through the latch when it is open. The latter is normally closed and is opened just before data stabilizes. Thus, it is more power-efficient but slower than normally open D-latches. We propose a module selection optimization that assigns each pipeline stage to one of these two latching styles. This is performed by an automated algorithm that uses two types of heuristics: (1) it uses the Global Critical Path (GCP), to assign D-latches to stages that are sequentially critical, and (2) it estimates potential datapath glitching to make SR-latch assignment decisions. The algorithm has quadratic-time complexity and experiments that apply the algorithm on several media processing kernels indicate that, on average, the heterogeneous pipelining algorithm achieves higher performance and is more energy efficient than either the homogeneous D-latch or SR-latch pipeline styles. %I Carnegie Mellon University